ENERGY-EFFICIENT WIRELESS SENSOR NETWORKS USING TURBO DECODER ARCHITECTURE: LOG-MBCJR

Authors:

Kosalendra.Eethamakula,V.Sridhar,K.V.Ranga Rao,Sudipta Ghosh,

DOI NO:

https://doi.org/10.26782/jmcms.2019.04.00004

Keywords:

Energy-efficient,error-correcting code (ECC), Log-MBCJR algorithm,turbo codes,

Abstract

The structure of supportable wireless-sensor-network’s(W/S/N’s) is an extremely testing matter From single perspective, vitality compelled sensors are relied upon to run self-sufficiently for extensive stretches. Be that as it may, it might be cost-restrictive to supplant depleted batteries or even outlandish in threatening situations. Then again, in contrast to different systems, WSNs are intended for explicit applications which run from little size medicinal services reconnaissance frameworks to extensive scale natural checking. Along these lines, any WSN sending needs to fulfil a lot of necessities that contrasts starting with one application then onto the next. In this unique circumstance, a large group of investigate occupation have been directed so as to suggest a wide scope of answers for the vitality sparing issue. This exploration covers a few zones going from a top-down review. Here we plan a design for WSNs utilizing turbo decoder. We deteriorate the LUT-Log-MBCJR design into its most essential add/compare/select (A/C/S) tasks also execute them utilizing a novel low-multifaceted nature ACS component. At that point we present another order of energy preservation strategy found in the ongoing writing, trailed by a deliberate discourse concerning how these plans struggle with the particular prerequisites. At long last, we study the methods linked in W/S/Ns to achieve exchange off flanked by a variety of prerequisites, for instance, multi-target streamlining.

Refference:

I.A. J. Viterbi, “An intuitive justification and a simplified implementation of the MAP decoder for convolutional codes,” IEEE J. Sel. Areas in Commun., vol. 16, no. 2, pp. 162–264, 1998.

II.C.M.Wu, M. D. Shieh, C. H.Wu,Y.T.Hwang, and J.H.Chen, “VLSI architectural design tradeoffs for sliding-window log-MAP decoders,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 13, no. 4, pp. 439–447,Apr. 2005.

III.C. Studer, C. Benkeser, S. Belfanti, and Q. Huang, “Design and implementation of a parallel turbo-decoder ASIC for 3GPP-LTE,” IEEE J. Solid-State Circuits, vol. 46, pp. 8–17, 2011.

IV.C. Benkeser, A. Burg, T. Cupaiuolo, and Q. Huang, “Design and optimization of an HSDPA turbo decoder ASIC,” IEEE J. Solid-State Circuits, vol. 44, no. 1, pp. 98–106, Jan. 2009.

V.C. Wong, Y. Lee, and H. Chang, “A 188-size 2.1 mm reconfigurable turbo decoder chip with parallel architecture for 3GPP LTE system,” in Proc. Symp. VLSI Circuits, 2009, pp. 288–289.

VI.C. Berrou, A. Glavieux, and P. Thitimajshima, “Near Shannon limit error correcting coding and decoding: Turbo codes,” in Proc. IEEE Int. Conf. Commun., 1993, pp. 1064–1070.

VII.C. Schurgers, F. Catthoor, and M. Engels, “Memory optimization of MAP turbo decoder algorithms,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 9, no. 2, pp. 305–312, Feb. 2001.

VIII.E. Boutillon, C. Douillard, and G. Montorsi, “Iterative decoding of concatenatedconvolutional codes: Implementation issues,” Proc. IEEE, vol. 95, no. 6, pp. 1201–1227, Jun. 2007.

IX.F.-M. Li, C.-H. Lin, and A.-Y. Wu, “Unified convolutional/turbo decoder design using tile-based timing analysis of VA/MAP kernel,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 16, no. 10, pp. 1063–8210, Oct. 2008.

X.G. Masera, M. Mazza, G. Piccinini, F. Viglione, and M. Zamboni, “Architectural strategies for low-power VLSI turbo decoders,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 10, no. 3, pp. 279–285, Mar. 2002.

XI.G. J. Pottie and W. J. Kaiser, “Wireless integrated network sensors,” Commun. ACM, vol. 43, no. 5, pp. 51–58, May 2000.

XII.I. F. Akyildiz, W. Su, Y. Sankarasubramaniam, and E. Cayirci, “Wireless sensor networks: A survey,” Comput. Netw.: Int. J. Comput. Telecommun. Netw., vol. 52, pp. 292–422, 2008.

XIII.L. Li, R. G. Maunder, B. M. Al-Hashimi, and L. Hanzo, “An energy-efficient error correction scheme for IEEE 802.15.4 wireless sensor networks,” Trans. Circuits Syst. II, vol. 57, no. 3, pp. 233–237, 2010.

XIV.L. Hanzo, T. H. Liew, B. L. Yeap, R. Tee, and S. X. Ng, Turbo Coding, Turbo Equalisation and Space-Time Coding. New York:Wiley, 2011.

XV.L. Hanzo, J. P. Woodard, and P. Robertson, “Turbo decoding and detection for wireless applications,” Proc. IEEE, vol. 95, no. 6, pp. 1178–1200, Jun. 2007.

XVI.L. Li, R. G. Maunder, B. M. Al-Hashimi, and L. Hanzo, “Design of fixed-point processing based turbo codes using extrinsic information transfer charts,” in Proc. IEEE Veh. Technol. Conf., 2010, pp. 1–5.

XVII.M.May, T. Ilnseher, N.Wehn, andW. Raab, “A 150 Mbit/s 3GPP LTE turbo code decoder,” in Proc. Design, Autom. Test in Euro. Conf. Exhib. (DATE), 2010, pp. 1420–1425.

XVIII.M. A. Bickerstaff, D. Garrett, T. Prokop, C. Thomas, B. Widdup, G. Zhou, L.M. Davis, G.Woodward, C. Nicol, and R.-H. Yan, “A unified turbo/Viterbi channel decoder for 3GPP mobile wireless in 0.18-m CMOS,” IEEE J. Solid-State Circuits, vol. 37, no. 11, pp. 1555–1564, Nov. 2002.

XIX.M. Bickerstaff, L. Davis, C. Thomas, D. Garrett, and C. Nicol, “A 24 Mb/s radix-4 log-MAP turbo decoder for 3GPP-HSDPA mobile wireless,” in Proc. IEEE Int. Solid-State Circuits Conf., 2003, pp. 150–484.

XX.M. C. Valenti and J. Sun, “The UMTS turbo code and an efficient decoder implementation suitable for software-defined radios,” Int. J. Wirel. Inform. Netw., vol. 8, no. 4, pp. 203–215, 2001.

XXI.P. Corke, T. Wark, R. Jurdak, H. Wen, P. Valencia, and D. Moore, “Environmental wireless sensor networks,” Proc. IEEE, vol. 98, no. 11, pp. 1903–1917, Nov. 2010.

XXIIP. Robertson, P. Hoeher, and E. Villebrun, “Optimal and sub-optimal maximum a posteriori algorithms suitable for turbo decoding,” Euro. Trans. Telecommun., vol. 8, no. 2, pp. 119–125, 1997.

XXIII.S. L. Howard, C. Schlegel, and K. Iniewski, “Error control coding in low-power wireless sensor networks:When is ECC energy-efficient?,” EURASIP J. Wirel. Commun. Netw., vol. 2006, pp. 1–14, 2006.

XXIV.S.-G. Lee, C.-H.Wang, andW.-H. Sheen, “Architecture design of QPP interleaver for parallel turbo decoding,” in Proc. IEEE Veh. Technol. Conf., 2010, pp. 1–5.

XXV.V.Sridhar,Venkat Ritesh Ghanta,T.Venu Gopal,“Spectrum Sensing In Cognitive Radio Using Energy Bandwidth Characteristic”, Journal of Advanced Research in Dynamical and Control Systems Vol. 9, Issue 2 ,OCT.2017,ISSN 1943-023X.

XXVI.V.Sridhar,P.Swetha,T.Venugopal,“Energy Efficient Key Management Schemefor Dynamic Wireless Sensor Networks”Journal of Advanced Research in Dynamical &Control Systems, 15-Special Issue, December 2017,ISSN 1943-023X,809-814.

XXVII.Y. Zhang and K. K. Parhi, “High-throughput radix-4 logMAP turbo decoder architecture,” in Proc. Asilomar Conf. Signals, Syst., Comput., 2006, pp. 1711–1715.

XXVIII.Y. Sun and J. R. Cavallaro, “Efficient hardware implementation of a highly-parallel 3GPP LTE, LTE-advance turbo decoder,” Integr., VLSI J., vol. 44, no. 1, pp. 1–11, 2010.

XXIX.W.-P. Ang and H. K. Garg, “A new iterative channel estimator for the log-MAP & max-log-MAP turbo decoder in Rayleigh fading channel,” in Proc. Global Telecommun. Conf., 2001, vol. 6, pp. 3252–3256.

XXX.Z. Wang, “High-speed recursion architectures for MAP-Based turbo decoders,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 15, no. 4, pp. 470–474, Apr. 2007.

XXXI.Z. He, P. Fortier, and S. Roy, “Highly-parallel decoding architectures for convolutional turbo codes,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 14, no. 10, pp. 1063–8210, Oct. 2006.

Kosalendra.Eethamakula, V.Sridhar, K.V.Ranga Rao, Sudipta Ghosh, View Download